소스파일이 이렇게 되어있구요.<br><br>library IEEE;<br>use IEEE.std_logic_1164.ALL;<br><br>entity Feedbacked_inverter is<br> port(outP: out std_logic);<br>end Feedbacked_inverter;<br> <br>architecture Equations of Feedbacked_inverter is<br> signal C, CLK : std_logic :='0'; <br>begin<br> C <= CLK;<br> CLK <= not C after 10 ns;<br> outP <= C;<br>end Equations;<br><br>테스트벤치 소스는<br><br>library IEEE;<br>use IEEE.std_logic_1164.all;<br><br>entity tb_Fig10_2 is<br> -- No port defined<br>end tb_Fig10_2;<br><br>architecture ttest2 of tb_Fig10_2 is<br>component Feedbacked_inverter<br> port(outP : out std_logic);<br>end component;<br><br>signal aa: std_logic;<br>begin<br> tb_MUX: Feedbacked_inverter port map ( aa );<br>end;<br><br>이렇게 돼있는데 <br>테스트벤치 소스는 어떻게 짜는건가요?<br>디지털공학 공부중인데 책에 테스트벤치 소스에 대해서는 설명이 없어서요...<br>
댓글 분란 또는 분쟁 때문에 전체 댓글이 블라인드 처리되었습니다.